Skip to main content
aboutsummaryrefslogtreecommitdiffstats
blob: 3a7506ae27cf70f33b443396c44e493412c696a4 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
/**
 * @author generated by eTrice
 *
 * Source File of ActorClass TrafficController
 * 
 */

#include "TrafficController.h"

#include "modelbase/etActor.h"
#include "debugging/etLogger.h"
#include "debugging/etMSCLogger.h"
#include "etUnit/etUnit.h"
#include "osal/etMemory.h"

#include "room/basic/service/timing/PTimer.h"
#include "trafficlight/example/PTrafficLight.h"


/* interface item IDs */
enum interface_items {
	IFITEM_light1 = 1,
	IFITEM_light2 = 2,
	IFITEM_timeout = 3
};

/* state IDs */
enum state_ids {
	NO_STATE = 0,
	STATE_TOP = 1,
	STATE_Idle = 2,
	STATE_Light1GreenForCars = 3,
	STATE_SwitchToLight1GreenForCars = 4,
	STATE_state0 = 5,
	STATE_state1 = 6,
	STATE_SwitchToLight2GreenForCars = 7,
	STATE_state2 = 8,
	STATE_state3 = 9,
	STATE_Light2GreenForCars = 10,
	STATE_MAX = 11
};

/* transition chains */
enum chain_ids {
	CHAIN_TRANS_INITIAL_TO__Idle = 1,
	CHAIN_TRANS_tr0_FROM_Idle_TO_SwitchToLight1GreenForCars_BY_timeouttimeout = 2,
	CHAIN_TRANS_tr1_FROM_SwitchToLight1GreenForCars_TO_state0_BY_greenForCarDonelight1 = 3,
	CHAIN_TRANS_tr2_FROM_SwitchToLight1GreenForCars_TO_state1_BY_greenForPedDonelight2 = 4,
	CHAIN_TRANS_tr3_FROM_state1_TO_Light1GreenForCars_BY_greenForCarDonelight1 = 5,
	CHAIN_TRANS_tr4_FROM_state0_TO_Light1GreenForCars_BY_greenForPedDonelight2 = 6,
	CHAIN_TRANS_tr5_FROM_Light1GreenForCars_TO_SwitchToLight2GreenForCars_BY_timeouttimeout = 7,
	CHAIN_TRANS_tr6_FROM_SwitchToLight2GreenForCars_TO_state2_BY_greenForPedDonelight1 = 8,
	CHAIN_TRANS_tr7_FROM_SwitchToLight2GreenForCars_TO_state3_BY_greenForCarDonelight2 = 9,
	CHAIN_TRANS_tr8_FROM_state2_TO_Light2GreenForCars_BY_greenForCarDonelight2 = 10,
	CHAIN_TRANS_tr9_FROM_state3_TO_Light2GreenForCars_BY_greenForPedDonelight1 = 11,
	CHAIN_TRANS_tr10_FROM_Light2GreenForCars_TO_SwitchToLight1GreenForCars_BY_timeouttimeout = 12
};

/* triggers */
enum triggers {
	POLLING = 0,
	TRIG_light1__greenForCarDone = IFITEM_light1 + EVT_SHIFT*PTrafficLight_OUT_greenForCarDone,
	TRIG_light2__greenForCarDone = IFITEM_light2 + EVT_SHIFT*PTrafficLight_OUT_greenForCarDone,
	TRIG_timeout__timeout = IFITEM_timeout + EVT_SHIFT*PTimer_OUT_timeout,
	TRIG_light2__greenForPedDone = IFITEM_light2 + EVT_SHIFT*PTrafficLight_OUT_greenForPedDone,
	TRIG_light1__greenForPedDone = IFITEM_light1 + EVT_SHIFT*PTrafficLight_OUT_greenForPedDone
};


static void setState(TrafficController* self, etInt16 new_state) {
	self->state = new_state;
}

static etInt16 getState(TrafficController* self) {
	return self->state;
}

/* Entry and Exit Codes */
static void entry_Idle(TrafficController* self) {
	PTimerConjPort_startTimeout(&self->constData->timeout, 3000) /* ORIG: timeout.startTimeout(3000) */;
}
static void entry_Light1GreenForCars(TrafficController* self) {
	PTimerConjPort_startTimeout(&self->constData->timeout, 10000) /* ORIG: timeout.startTimeout(10000) */;
}
static void entry_SwitchToLight1GreenForCars(TrafficController* self) {
	PTrafficLightConjPort_greenForCar(&self->constData->light1) /* ORIG: light1.greenForCar() */;
	PTrafficLightConjPort_greenForPed(&self->constData->light2) /* ORIG: light2.greenForPed() */;
}
static void entry_SwitchToLight2GreenForCars(TrafficController* self) {
	PTrafficLightConjPort_greenForPed(&self->constData->light1) /* ORIG: light1.greenForPed() */;
	PTrafficLightConjPort_greenForCar(&self->constData->light2) /* ORIG: light2.greenForCar() */;
}
static void entry_Light2GreenForCars(TrafficController* self) {
	PTimerConjPort_startTimeout(&self->constData->timeout, 10000) /* ORIG: timeout.startTimeout(10000) */;
}

/* Action Codes */

/**
 * calls exit codes while exiting from the current state to one of its
 * parent states while remembering the history
 * @param current - the current state
 * @param to - the final parent state
 */
static void exitTo(TrafficController* self, etInt16 current, etInt16 to) {
	while (current!=to) {
		switch (current) {
			case STATE_Idle:
				self->history[STATE_TOP] = STATE_Idle;
				current = STATE_TOP;
				break;
			case STATE_Light1GreenForCars:
				self->history[STATE_TOP] = STATE_Light1GreenForCars;
				current = STATE_TOP;
				break;
			case STATE_SwitchToLight1GreenForCars:
				self->history[STATE_TOP] = STATE_SwitchToLight1GreenForCars;
				current = STATE_TOP;
				break;
			case STATE_state0:
				self->history[STATE_TOP] = STATE_state0;
				current = STATE_TOP;
				break;
			case STATE_state1:
				self->history[STATE_TOP] = STATE_state1;
				current = STATE_TOP;
				break;
			case STATE_SwitchToLight2GreenForCars:
				self->history[STATE_TOP] = STATE_SwitchToLight2GreenForCars;
				current = STATE_TOP;
				break;
			case STATE_state2:
				self->history[STATE_TOP] = STATE_state2;
				current = STATE_TOP;
				break;
			case STATE_state3:
				self->history[STATE_TOP] = STATE_state3;
				current = STATE_TOP;
				break;
			case STATE_Light2GreenForCars:
				self->history[STATE_TOP] = STATE_Light2GreenForCars;
				current = STATE_TOP;
				break;
			default:
				/* should not occur */
				break;
		}
	}
}

/**
 * calls action, entry and exit codes along a transition chain. The generic data are cast to typed data
 * matching the trigger of this chain. The ID of the final state is returned
 * @param chain - the chain ID
 * @param generic_data - the generic data pointer
 * @return the +/- ID of the final state either with a positive sign, that indicates to execute the state's entry code, or a negative sign vice versa
 */
static etInt16 executeTransitionChain(TrafficController* self, int chain, const InterfaceItemBase* ifitem, void* generic_data) {
	switch (chain) {
		case CHAIN_TRANS_INITIAL_TO__Idle:
		{
			return STATE_Idle;
		}
		case CHAIN_TRANS_tr0_FROM_Idle_TO_SwitchToLight1GreenForCars_BY_timeouttimeout:
		{
			return STATE_SwitchToLight1GreenForCars;
		}
		case CHAIN_TRANS_tr1_FROM_SwitchToLight1GreenForCars_TO_state0_BY_greenForCarDonelight1:
		{
			return STATE_state0;
		}
		case CHAIN_TRANS_tr2_FROM_SwitchToLight1GreenForCars_TO_state1_BY_greenForPedDonelight2:
		{
			return STATE_state1;
		}
		case CHAIN_TRANS_tr3_FROM_state1_TO_Light1GreenForCars_BY_greenForCarDonelight1:
		{
			return STATE_Light1GreenForCars;
		}
		case CHAIN_TRANS_tr4_FROM_state0_TO_Light1GreenForCars_BY_greenForPedDonelight2:
		{
			return STATE_Light1GreenForCars;
		}
		case CHAIN_TRANS_tr5_FROM_Light1GreenForCars_TO_SwitchToLight2GreenForCars_BY_timeouttimeout:
		{
			return STATE_SwitchToLight2GreenForCars;
		}
		case CHAIN_TRANS_tr6_FROM_SwitchToLight2GreenForCars_TO_state2_BY_greenForPedDonelight1:
		{
			return STATE_state2;
		}
		case CHAIN_TRANS_tr7_FROM_SwitchToLight2GreenForCars_TO_state3_BY_greenForCarDonelight2:
		{
			return STATE_state3;
		}
		case CHAIN_TRANS_tr8_FROM_state2_TO_Light2GreenForCars_BY_greenForCarDonelight2:
		{
			return STATE_Light2GreenForCars;
		}
		case CHAIN_TRANS_tr9_FROM_state3_TO_Light2GreenForCars_BY_greenForPedDonelight1:
		{
			return STATE_Light2GreenForCars;
		}
		case CHAIN_TRANS_tr10_FROM_Light2GreenForCars_TO_SwitchToLight1GreenForCars_BY_timeouttimeout:
		{
			return STATE_SwitchToLight1GreenForCars;
		}
			default:
				/* should not occur */
				break;
	}
	return NO_STATE;
}

/**
 * calls entry codes while entering a state's history. The ID of the final leaf state is returned
 * @param state - the state which is entered
 * @return - the ID of the final leaf state
 */
static etInt16 enterHistory(TrafficController* self, etInt16 state) {
	boolean skip_entry = FALSE;
	if (state >= STATE_MAX) {
		state = (etInt16) (state - STATE_MAX);
		skip_entry = TRUE;
	}
	while (TRUE) {
		switch (state) {
			case STATE_Idle:
				if (!(skip_entry)) entry_Idle(self);
				/* in leaf state: return state id */
				return STATE_Idle;
			case STATE_Light1GreenForCars:
				if (!(skip_entry)) entry_Light1GreenForCars(self);
				/* in leaf state: return state id */
				return STATE_Light1GreenForCars;
			case STATE_SwitchToLight1GreenForCars:
				if (!(skip_entry)) entry_SwitchToLight1GreenForCars(self);
				/* in leaf state: return state id */
				return STATE_SwitchToLight1GreenForCars;
			case STATE_state0:
				/* in leaf state: return state id */
				return STATE_state0;
			case STATE_state1:
				/* in leaf state: return state id */
				return STATE_state1;
			case STATE_SwitchToLight2GreenForCars:
				if (!(skip_entry)) entry_SwitchToLight2GreenForCars(self);
				/* in leaf state: return state id */
				return STATE_SwitchToLight2GreenForCars;
			case STATE_state2:
				/* in leaf state: return state id */
				return STATE_state2;
			case STATE_state3:
				/* in leaf state: return state id */
				return STATE_state3;
			case STATE_Light2GreenForCars:
				if (!(skip_entry)) entry_Light2GreenForCars(self);
				/* in leaf state: return state id */
				return STATE_Light2GreenForCars;
			case STATE_TOP:
				state = self->history[STATE_TOP];
				break;
			default:
				/* should not occur */
				break;
		}
		skip_entry = FALSE;
	}
	/* return NO_STATE; // required by CDT but detected as unreachable by JDT because of while (true) */
}

static void TrafficController_executeInitTransition(TrafficController* self) {
	int chain = CHAIN_TRANS_INITIAL_TO__Idle;
	etInt16 next = executeTransitionChain(self, chain, NULL, NULL);
	next = enterHistory(self, next);
	setState(self, next);
}

/* receiveEvent contains the main implementation of the FSM */
static void TrafficController_receiveEvent(TrafficController* self, InterfaceItemBase* ifitem, int evt, void* generic_data) {
	int trigger = ifitem->localId + EVT_SHIFT*evt;
	int chain = NOT_CAUGHT;
	etInt16 catching_state = NO_STATE;
	
	if (!handleSystemEvent(ifitem, evt, generic_data)) {
		switch (getState(self)) {
			case STATE_Idle:
				switch(trigger) {
						case TRIG_timeout__timeout:
							{
								chain = CHAIN_TRANS_tr0_FROM_Idle_TO_SwitchToLight1GreenForCars_BY_timeouttimeout;
								catching_state = STATE_TOP;
							}
						break;
						default:
							/* should not occur */
							break;
				}
				break;
			case STATE_Light1GreenForCars:
				switch(trigger) {
						case TRIG_timeout__timeout:
							{
								chain = CHAIN_TRANS_tr5_FROM_Light1GreenForCars_TO_SwitchToLight2GreenForCars_BY_timeouttimeout;
								catching_state = STATE_TOP;
							}
						break;
						default:
							/* should not occur */
							break;
				}
				break;
			case STATE_SwitchToLight1GreenForCars:
				switch(trigger) {
						case TRIG_light1__greenForCarDone:
							{
								chain = CHAIN_TRANS_tr1_FROM_SwitchToLight1GreenForCars_TO_state0_BY_greenForCarDonelight1;
								catching_state = STATE_TOP;
							}
						break;
						case TRIG_light2__greenForPedDone:
							{
								chain = CHAIN_TRANS_tr2_FROM_SwitchToLight1GreenForCars_TO_state1_BY_greenForPedDonelight2;
								catching_state = STATE_TOP;
							}
						break;
						default:
							/* should not occur */
							break;
				}
				break;
			case STATE_state0:
				switch(trigger) {
						case TRIG_light2__greenForPedDone:
							{
								chain = CHAIN_TRANS_tr4_FROM_state0_TO_Light1GreenForCars_BY_greenForPedDonelight2;
								catching_state = STATE_TOP;
							}
						break;
						default:
							/* should not occur */
							break;
				}
				break;
			case STATE_state1:
				switch(trigger) {
						case TRIG_light1__greenForCarDone:
							{
								chain = CHAIN_TRANS_tr3_FROM_state1_TO_Light1GreenForCars_BY_greenForCarDonelight1;
								catching_state = STATE_TOP;
							}
						break;
						default:
							/* should not occur */
							break;
				}
				break;
			case STATE_SwitchToLight2GreenForCars:
				switch(trigger) {
						case TRIG_light1__greenForPedDone:
							{
								chain = CHAIN_TRANS_tr6_FROM_SwitchToLight2GreenForCars_TO_state2_BY_greenForPedDonelight1;
								catching_state = STATE_TOP;
							}
						break;
						case TRIG_light2__greenForCarDone:
							{
								chain = CHAIN_TRANS_tr7_FROM_SwitchToLight2GreenForCars_TO_state3_BY_greenForCarDonelight2;
								catching_state = STATE_TOP;
							}
						break;
						default:
							/* should not occur */
							break;
				}
				break;
			case STATE_state2:
				switch(trigger) {
						case TRIG_light2__greenForCarDone:
							{
								chain = CHAIN_TRANS_tr8_FROM_state2_TO_Light2GreenForCars_BY_greenForCarDonelight2;
								catching_state = STATE_TOP;
							}
						break;
						default:
							/* should not occur */
							break;
				}
				break;
			case STATE_state3:
				switch(trigger) {
						case TRIG_light1__greenForPedDone:
							{
								chain = CHAIN_TRANS_tr9_FROM_state3_TO_Light2GreenForCars_BY_greenForPedDonelight1;
								catching_state = STATE_TOP;
							}
						break;
						default:
							/* should not occur */
							break;
				}
				break;
			case STATE_Light2GreenForCars:
				switch(trigger) {
						case TRIG_timeout__timeout:
							{
								chain = CHAIN_TRANS_tr10_FROM_Light2GreenForCars_TO_SwitchToLight1GreenForCars_BY_timeouttimeout;
								catching_state = STATE_TOP;
							}
						break;
						default:
							/* should not occur */
							break;
				}
				break;
			default:
				/* should not occur */
				break;
		}
	}
	if (chain != NOT_CAUGHT) {
		exitTo(self, getState(self), catching_state);
		{
			etInt16 next = executeTransitionChain(self, chain, ifitem, generic_data);
			next = enterHistory(self, next);
			setState(self, next);
		}
	}
}

void TrafficController_init(TrafficController* self){
	ET_MSC_LOGGER_SYNC_ENTRY("TrafficController", "init")
	self->state = STATE_TOP;
	{
		int i;
		for (i=0; i<TRAFFICCONTROLLER_HISTORY_SIZE; ++i)
			self->history[i] = NO_STATE;
	}
	TrafficController_executeInitTransition(self);
	ET_MSC_LOGGER_SYNC_EXIT
}


void TrafficController_receiveMessage(void* self, void* ifitem, const etMessage* msg){
	ET_MSC_LOGGER_SYNC_ENTRY("TrafficController", "_receiveMessage")
	
	TrafficController_receiveEvent(self, (etPort*)ifitem, msg->evtID, (void*)(((char*)msg)+MEM_CEIL(sizeof(etMessage))));
	
	ET_MSC_LOGGER_SYNC_EXIT
}


/*--------------------- operations ---------------------*/
void TrafficController_ctor(TrafficController* self) {
	etInitSockets();
}
void TrafficController_dtor(TrafficController* self) {
	etCleanupSockets();
}

Back to the top